Entorno de desarrollo VHDL (Low Cost)馃馃挵

 Pasos para Instalar un entorno de desarrollo VHDL (Low Cost)   



Ghdl  es una herramienta que permite compilar y simular la ejecuci贸n de c贸digo vhdl. Se trata de una herramienta muy sencilla por lo que la hace ideal para pruebas con el lenguaje o para tener un dise帽o previo antes de utilizarlo en otra herramienta como simulink. Sin embargo una desventaja grande es que no permite sintetizar c贸digo vhdl.    

 Instalaci贸n de un Editor de c贸digo:  

Visual Studio Code  

Instalaci贸n de los siguientes plugins en Visual Studio Code:  

  • VHDL Language Server (VHDL TOOL)  
  • VHDL Support for VS code  
  • VHDL Snippets, Sintax HighLighting, Pu Zhao.  
  • Vscode-icons, Roberto Huertas  

Instalaci贸n de un Compilador de VHDL:  

GHDL  

  1. Copia la carpeta “ghdl” en tu directorio local disk “c:/”.  
  2. Dir铆gete a tu barra de b煤squedas en Windows y escribe “env” elige “Edit the environment system variables” se te debe abrir una ventana llamada System Properties.
  3. Dir铆gete a la pesta帽a “Advance” pulsa el bot贸n Enviroment Variables…
  4. En la nueva venta “Environment variable” da doble clic sobre “Path” en “System variables”.
  5. Se te abre otra ventana “Edit environment variable” vamos a la opci贸n “new”, en el campo de escritura que se habilita escribir “a” (solo para prop贸sito de creaci贸n puede ser cualquier valor) presiona enter para agregar.
  6. Selecciona el campo “a” que acabas de introducir y da clic sobre el bot贸n “Browser…”, busca la carpeta que copiaste en tu disco c:/ llamado “ghdle” dentro hay otra carpeta llamada bin selecci贸nala deber铆a quedarte de esta manera “C:\ghdl\bin”.

Para comprobar que todo est谩 bien dir铆gete a tu cmd y escribe el comando “ghdl -v” deber铆a salirte la informaci贸n sobre la instalaci贸n de GHDL versi贸n 0.31 o la que tengas descargada.

Instalar una herramienta de Test de tus dise帽os:

Las instalaciones de GTKWave es id茅ntica a GHDL, al final del proceso debe quedarte “C:\gtkwave\bin”. Para probar que todo est谩 bien ir a tu CMD y escribe el comando “gtkwave” deber铆a abrir una ventana con la aplicaci贸n GTKwave para gr谩ficos de tiempo.

Comandos esenciales:


  • Analizar/compilar vhdl -> ghdl -a
  • Elaborar ejecutable -> ghdl -e
  • Ejecutar simulaci贸n -> ghdl -r
  • Visualizar cronogramas -> gtkwave

Conclusi贸n:

Con estos pasos tienes instalado un entorno de pruebas b谩sico para empezar a trastear con el mundo de la descripci贸n de hardware con VHDL, si quieres conocer entorno m谩s profesionales para trabajar con estas tecnolog铆as te recomiendo visitar la p谩gina oficial de Altera y Xilinx, estas son empresas muy enfocadas en el desarrollo de sistemas embebidos usando FPGA y otras tecnol贸gicas.

Tambi茅n visitar la p谩gina Obijuan para seguir aprendiendo del entorno de FPGA libres de una comunidad espa帽ola.

Ver los siguientes videos para entender m谩s el tema:





Comentarios

Entradas populares de este blog

Algoritmos: Big O

T茅cnicas para Algoritmos: Sliding Windows #1